Skip to content

Commit

Permalink
[package] add type for inter-core communication
Browse files Browse the repository at this point in the history
  • Loading branch information
stnolting committed Jan 7, 2025
1 parent f5e4546 commit 0948942
Showing 1 changed file with 14 additions and 8 deletions.
22 changes: 14 additions & 8 deletions rtl/core/neorv32_package.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -29,7 +29,7 @@ package neorv32_package is

-- Architecture Constants -----------------------------------------------------------------
-- -------------------------------------------------------------------------------------------
constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01100808"; -- hardware version
constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01100809"; -- hardware version
constant archid_c : natural := 19; -- official RISC-V architecture ID
constant XLEN : natural := 32; -- native data path width

Expand Down Expand Up @@ -224,16 +224,22 @@ package neorv32_package is
err => '0'
);

-- External Stream-Link Interface (SLINK / AXI4-Stream) -----------------------------------
-- Inter-Core Communication (ICC) Links ---------------------------------------------------
-- -------------------------------------------------------------------------------------------
type slink_t is record
data : std_ulogic_vector(31 downto 0); -- data
addr : std_ulogic_vector(3 downto 0); -- source/destination ID
valid : std_ulogic; -- source valid
last : std_ulogic; -- last element of packet
ready : std_ulogic; -- sink ready
-- icc link (for up to 4 cores) --
type icc_t is record
rdy : std_ulogic_vector(4-1 downto 0); -- data available
ack : std_ulogic_vector(4-1 downto 0); -- read-enable
dat : std_ulogic_vector(4*XLEN-1 downto 0); -- data word
end record;

-- endpoint termination --
constant icc_terminate_c : icc_t := (
rdy => (others => '0'),
ack => (others => '0'),
dat => (others => '0')
);

-- **********************************************************************************************************
-- RISC-V ISA Definitions
-- **********************************************************************************************************
Expand Down

0 comments on commit 0948942

Please sign in to comment.