-
Notifications
You must be signed in to change notification settings - Fork 6
/
VC707_rev_2.0.ucf.xdc
41 lines (39 loc) · 2.19 KB
/
VC707_rev_2.0.ucf.xdc
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
#set_property PACKAGE_PIN AK34 [get_ports USER_CLOCK_P]
#set_property IOSTANDARD LVDS [get_ports USER_CLOCK_P]
set_property PACKAGE_PIN E19 [get_ports SYSCLK_P]
set_property IOSTANDARD LVDS [get_ports SYSCLK_P]
set_property PACKAGE_PIN E18 [get_ports SYSCLK_N]
set_property IOSTANDARD LVDS [get_ports SYSCLK_N]
#set_property DIFF_TERM FALSE [get_ports SYSCLK_P]
#set_property PACKAGE_PIN AL34 [get_ports USER_CLOCK_N]
#set_property IOSTANDARD LVDS [get_ports USER_CLOCK_N]
#set_property PACKAGE_PIN AJ32 [get_ports USER_SMA_CLOCK_P]
#set_property IOSTANDARD LVCMOS18 [get_ports USER_SMA_CLOCK_P]
#set_property PACKAGE_PIN AK32 [get_ports USER_SMA_CLOCK_N]
#set_property IOSTANDARD LVCMOS18 [get_ports USER_SMA_CLOCK_N]
set_property PACKAGE_PIN AR37 [get_ports GPIO_LED_2_LS]
set_property IOSTANDARD LVCMOS18 [get_ports GPIO_LED_2_LS]
set_property PACKAGE_PIN AT37 [get_ports GPIO_LED_3_LS]
set_property IOSTANDARD LVCMOS18 [get_ports GPIO_LED_3_LS]
set_property PACKAGE_PIN AM39 [get_ports GPIO_LED_0_LS]
set_property IOSTANDARD LVCMOS18 [get_ports GPIO_LED_0_LS]
set_property PACKAGE_PIN AN39 [get_ports GPIO_LED_1_LS]
set_property IOSTANDARD LVCMOS18 [get_ports GPIO_LED_1_LS]
#set_property PACKAGE_PIN AP40 [get_ports GPIO_SW_S]
#set_property IOSTANDARD LVCMOS18 [get_ports GPIO_SW_S]
#set_property PACKAGE_PIN AR40 [get_ports GPIO_SW_N]
#set_property IOSTANDARD LVCMOS18 [get_ports GPIO_SW_N]
#set_property PACKAGE_PIN AP41 [get_ports GPIO_LED_5_LS]
#set_property IOSTANDARD LVCMOS18 [get_ports GPIO_LED_5_LS]
#set_property PACKAGE_PIN AP42 [get_ports GPIO_LED_6_LS]
#set_property IOSTANDARD LVCMOS18 [get_ports GPIO_LED_6_LS]
#set_property PACKAGE_PIN AU39 [get_ports GPIO_LED_7_LS]
#set_property IOSTANDARD LVCMOS18 [get_ports GPIO_LED_7_LS]
set_property PACKAGE_PIN AV39 [get_ports GPIO_SW_C]
set_property IOSTANDARD LVCMOS18 [get_ports GPIO_SW_C]
set_property PACKAGE_PIN AU38 [get_ports GPIO_SW_E]
set_property IOSTANDARD LVCMOS18 [get_ports GPIO_SW_E]
#set_property PACKAGE_PIN AW40 [get_ports GPIO_SW_W]
#set_property IOSTANDARD LVCMOS18 [get_ports GPIO_SW_W]
#set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets GPIO_SW_W]
#set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets SYSCLK_P]